PROGRAM SCHEDULE

This program schedule is in JST (Japan Standard Time)

ISSM2020 Program-At-A-Glance
ISSM2020 Program Schedule at-a-glance(as of Dec 11th)

Tuesday, December 15

Tues, Dec 15 | Wed, Dec 16

Plenary Session

8:50 Opening
Opening Remarks by Mr. Shozo Saito, Device & System Platform Development Center, ISSM Organiging Committee Chair
ISSM2020 by Dr. Ayako Shimazaki, Toshiba Nanoanalysis Corporation, ISSM Executive Committee Chair
Program Outline by Dr. Shin-ichi Imai, Hitachi High-Tech Solutions Corporation, ISSM Program Committee Chair
9:10 Tutorial Speech 1 (in Japanese)
Ultra Clean Technology Concept and Results

Dr. Yasuyuki Shirai, Tohoku University
9:50 Break
9:55 Tutorial Speech 2 (in Japanese)
Plasma process-induced damage-modeling and characterizations

Dr. Koji Eriguchi, Kyoto University
10:35 Break
10:45 Keynote Speech 1
NVIDIA AI EVOLUTION
Masataka Osaki, NVIDIA Japan
11:25 Break
11:30 Keynote Speech 2
New Smart-Microsystems Age Enabled by Heterogeneous Integration of Silicon-Centric and AI Technologies - My Personal View

Dr. Nicky Lu, Etron
12:10 Lunch Break (Exhibitor Presentation)
12:50 EDTM2020-INVITED
High Volume Semiconductor Manufacturing Using Nanoimprint Lithography

Kazuki Nakagawa / Yukio Takabayashi, Canon
13:10 Break

RoomA
Session A-1:
Process/Material Optimization (PO)-1

13:20 PO-47 : Ar/N2-Plasma Sputtering Pressure Dependence on EOT Reduction of HfON Tunneling layer Formed by The Plasma Oxidation of HfN for Hf-based MONOS Diodes
Jooyoung Pyo, Tokyo Institute of Technology
13:40 PO-48 : Investigation of high-k HfN multilayer gate dielectrics for MISFET fabricated with Si surface flattening
Akio Ihara, Tokyo Institute of Technology
14:00 PO-25 : Etching characteristics of PECVD prepared SiN films with CF4/H2 and CF4/D2 plasmas at different substrate temperatures
Shih-Nan Hsiao, Nagoya University
14:20 Author's Interview & Break

Session A-2:
Process/Material Optimization (PO)-2 & Process Monitoring & Control Method (PM)-1

14:40 PO-37 : Study on Measurement Method of Microscopic ζ Potential
Yuichi Watanabe, FUJIMI INCORPORATED
15:00 PO-39 : Process Optimization of Trench Field Plate Power MOSFETs with Sequential Phosphorus-Doped Silicon
Kota Tomita, TOSHIBA DEVICE & STORAGE
15:20 PM-19 : Quality Control of Trench Field Plate Power MOSFETs by Correlation of Trench Angle and Wafer Warpage
Hiroaki Kato, TOSHIBA DEVICE & STORAGE
15:40 PM-07 : Feature Extraction from Equipment Sensor Signals with Time Series Clustering and Its Application to Defect Prediction
Daisuke Hamaguchi, Sony Semiconductor Manufacturing
16:00 Author's Interview & Break

Session A-3:
Process Monitoring & Control Method (PM)-2 & Ultraclean Technology (UT)

16:20 PM-08 : Quality-Oriented Statistical Process Control Utilizing Bayesian Modeling
Kaito Date, Kioxia
16:40 PM-24 : Highly Accurate Quality Prediction for High-Mix Low-Volume Semiconductor Products using Hierarchical Bayes Model
Kosuke Okusa, Yokohama City University
17:00 PM-18 : Using Quartz Crystal Microbalance to Provide Real-Time Process Monitoring
Hirofumi Tsuchiyama, INFICON
17:20 UT-10 : Study on ultra-trace metal analysis in organic solvent by re-dissolved method and direct method
Hiromi Kimura, Kurita Analysis Service Co. Ltd.
17:40 Author's Interview & Break
18:00 Break
18:10 Networking
19:00

RoomB
Session B-1:
Worker and WIP Control (WC) & Manufacturing Strategy (MS)

13:20 WC-51 : Optimization of multi-objective function of Hybrid Flowshop Scheduling-Batch Process with Q-time
Jia LIN, University of Tsukuba
13:40 MS-21 : A Study of the CMOS Image Sensor Semiconductor Business: Factors Maintaining the Long-Term Manufacturing Technology Superiority of IDM Companies
Tetsuya Asami, Tokyo Institute of Technology
14:00 MS-16 : Digital Transformation’s Impact on Smart Manufacturing
John Behnke, INFICON
14:20 Author's Interview & Break

Session B-2:
Intelligent Data Management (ID)-1 & Material Informatics (MI) & New Gas, New Liquid, and New Resist Technologies (NM)

14:40 ID-22 : Ageing Monitoring of GaN Transistors using Recurrent Neural Networks
Florian Chalvin, Rohm Semiconductor
15:00 ID-38 : CMP Process Optimization Engineering by Machine Learning
Hsiang-Meng Yu, Macronix International
15:20 MI-36 : Predicting and considering properties of general polymers using incomplete dataset
Hitoshi Yamano, Tokyo Ohka Kogyo Co., Ltd.
15:40 NM-42 : Recent Progress on Spin-on Inorganic Materials
Kazunori Sakai, JSR Corporation
16:00 Author's Interview & Break

Session B-3:
Yield & Defect Control (YD)-1

16:20 YD-29 : Reduction of harmful effect due to by-product in CVD reactor for 4H-SiC epitaxy
Yoshiaki Daigo, NuFlare Technology, Inc.
16:40 YD-30 : Impact of precise temperature control for 4H-SiC epitaxy on large diameter wafers
Yoshiaki Daigo, NuFlare Technology, Inc.
17:00 YD-33 : Improvement of Multi-lines Bridge Defect Classification by Hierarchical Architecture in Artificial Intelligence Automatic Defect Classification
Bing-Sheng, Lin, Macronix International
17:20 YD-23 : Minimization of CNN Training Data by using Data Augmentation for Inline Defect Classification
Akihiro Fujishiro, Renesas Electronics
17:40 Author's Interview & Break
18:00 Break
18:10 Networking
19:00

Wednesday, December 16

Tue, Dec 15 | Wed, Dec 16

Plenary Session

8:50 Keynote Speech 3
Novel sensors from CREST project and open innovation platform, Leafony, connecting sensors to IoT/AI applications (tentative)

Prof. Takayasu Sakurai, The Univ. of Tokyo
9:30 Break
9:40 Keynote Speech 4
Rapid Yield Improvement Using Intelligent Data Mining

Dr.Vivek Jain, Maxim Integrated
10:20 Break
10:25 Keynote Speech 5
Current status and prospective of all-solid-lithium ion batteries

Prof. Takeshi Abe, Kyoto University
11:05 Break
11:10 Keynote Speech 6
The outlook of semiconductor industry market in New Normal Age and the impact of US-China on semiconductor supply chain

Akira Minamikawa, Omdia
11:50 Lunch Break (Exhibitor Presentation)
12:30 AI Contest Manufacturing Fab Data AI utilization Idea Contest
* SEM Image Classification AI Algorithm Contest
* Semiconductor Manufacturing Fab Data AI utilization IdeaContest
13:30 Break
13:40 Keynote Speech 7
SiC and GaN devices for improved power efficiency in automotive and industrial applications

Dr. Salvatore Coffa, ST Microelctronics
14:20 Break

RoomA
Session A-4:
Process Monitoring & Control Method (PM)-3

14:30 PM-46 : Chamber and Recipe-Independent FDC indicator in High-mix Semiconductor Manufacturing
Satoshi Yasuda, Tower Partners Semiconductor
14:50 PM-09 : Anomaly detection and analysis by a gradient boosting trees and neural network ensemble model
Takayuki Nishimura, Sony Semiconductor Manufacturing
15:10 PM-31 : AI-FDC: Automated Top Down System for Fab Wide Process Equipment Health Monitoring
Michael Keleher / Richard Burch, PDF Solutions
15:30 PM-35 : FDC Based on Neural Network with Harmonic Sensor to Prevent Error of Robot
Kenta Kamizono, Panasonic
15:50 Author's Interview & Break

Session A-5:
Process Monitoring & Control Method (PM)-4 & Intelligent Data Management (ID)-2

16:10 PM-13 : The Benefits of Real time Cloud Analytics in Semiconductor
Gabe Villareal, BISTel
16:30 PM-44 : Planned Maintenance Schedule Update Method for Predictive Maintenance of Semiconductor Plasma Etcher
Shota Umeda, Hitachi, Ltd.
16:50 PM-43 : Seasoning Optimization by using Optical Emission Spectroscopy
Masahiro Shiga, Tokyo Electron
17:10 ID-45 : Analysis of Visualized Complex Reaction Network in Low-Temperature Molecular Plasma
Osamu Sakai, The University of Shiga Prefecture
17:30 Author's Interview & Break
17:50 Award & Networking

RoomB
Session B-4:
Yield & Defect Control (YD)-2

14:30 YD-14 : Taking Engineering Automation to the Next Level with Artificial Intelligence
Peter Barar / Kim Kok Gan, BISTel
14:50 YD-41 : Rapid Resolution of Parametric Failures in the Process Development Period by Integrating Device Physics and Big Data
Takahiko Hashidzume, Tower Partners Semiconductor
15:10 YD-17 : Smart Integrated Metrology Sampling
Hirofumi Tsuchiyama, INFICON
15:30
15:50 Author's Interview & Break

Session B-5:Final Manufacturing & Integrated Package (FM)

16:10 FM-50 : Ni Difusion Behavior and Bondability of Electroless NiP/PdP/Au Film
Akifumi Kurachi, JX Nippon Mining & Metals Corporation
16:30 FM-32 : AlN filler for high thermal conductive resin materials
Yoshitaka Inaki, Tokuyama corporation
16:50 FM-40 : Reliability and High-Frequency Filter Characteristics of Low-Loss Materials for 5G RF Modules
Takenori Kakutani, Taiyo Ink Mfg. Co., Ltd.
17:10 FM-11 : High-Throughput Direct Adaptive Imaging System with Novel Measurement Tool for Heterogeneous Integration
Shota Majima, SCREEN Semiconductor Solutions
17:30 Author's Interview & Break
17:50 Award & Networking